## This file is a general .xdc for the Basys3 rev B board ## To use it in a project: ## - uncomment the lines corresponding to used pins ## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project # Clock signal for our project set_property PACKAGE_PIN W5 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports clk] create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports clk] # Switches #switches 0 through 3 are assigned to represent our project switch inputs. If you want to add more devices assign more switches to inputs. set_property PACKAGE_PIN V17 [get_ports {sw[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw[0]}] set_property PACKAGE_PIN V16 [get_ports {sw[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw[1]}] set_property PACKAGE_PIN W16 [get_ports {sw[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw[2]}] set_property PACKAGE_PIN W17 [get_ports {sw[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {sw[3]}] #set_property PACKAGE_PIN W15 [get_ports {switches[4]}] # set_property IOSTANDARD LVCMOS33 [get_ports {switches[4]}] #set_property PACKAGE_PIN V15 [get_ports {switches[5]}] # set_property IOSTANDARD LVCMOS33 [get_ports {switches[5]}] #set_property PACKAGE_PIN W14 [get_ports {switches[6]}] # set_property IOSTANDARD LVCMOS33 [get_ports {switches[6]}] #set_property PACKAGE_PIN W13 [get_ports {switches[7]}] # set_property IOSTANDARD LVCMOS33 [get_ports {switches[7]}] #set_property PACKAGE_PIN V2 [get_ports {sw[8]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[8]}] #set_property PACKAGE_PIN T3 [get_ports {sw[9]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[9]}] #set_property PACKAGE_PIN T2 [get_ports {sw[10]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[10]}] #set_property PACKAGE_PIN R3 [get_ports {sw[11]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[11]}] #set_property PACKAGE_PIN W2 [get_ports {sw[12]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[12]}] #set_property PACKAGE_PIN U1 [get_ports {sw[13]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[13]}] #set_property PACKAGE_PIN T1 [get_ports {sw[14]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[14]}] #set_property PACKAGE_PIN R2 [get_ports {sw[15]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw[15]}] # LEDs #set_property PACKAGE_PIN U16 [get_ports {dev[0]}] # set_property IOSTANDARD LVCMOS33 [get_ports {dev[0]}] #set_property PACKAGE_PIN E19 [get_ports {dev[1]}] # set_property IOSTANDARD LVCMOS33 [get_ports {dev[1]}] #set_property PACKAGE_PIN U19 [get_ports {dev[2]}] # set_property IOSTANDARD LVCMOS33 [get_ports {dev[2]}] #set_property PACKAGE_PIN V19 [get_ports {dev[3]}] # set_property IOSTANDARD LVCMOS33 [get_ports {dev[3]}] #set_property PACKAGE_PIN W18 [get_ports {LED[4]}] # set_property IOSTANDARD LVCMOS33 [get_ports {LED[4]}] #set_property PACKAGE_PIN U15 [get_ports {LED[5]}] # set_property IOSTANDARD LVCMOS33 [get_ports {LED[5]}] #set_property PACKAGE_PIN U14 [get_ports {LED[6]}] # set_property IOSTANDARD LVCMOS33 [get_ports {LED[6]}] #set_property PACKAGE_PIN V14 [get_ports {LED[7]}] # set_property IOSTANDARD LVCMOS33 [get_ports {LED[7]}] #set_property PACKAGE_PIN V13 [get_ports {LED[8]}] # set_property IOSTANDARD LVCMOS33 [get_ports {LED[8]}] #set_property PACKAGE_PIN V3 [get_ports {LED[9]}] # set_property IOSTANDARD LVCMOS33 [get_ports {LED[9]}] #set_property PACKAGE_PIN W3 [get_ports {LED[10]}] # set_property IOSTANDARD LVCMOS33 [get_ports {LED[10]}] #set_property PACKAGE_PIN U3 [get_ports {LED[11]}] # set_property IOSTANDARD LVCMOS33 [get_ports {LED[11]}] #set_property PACKAGE_PIN P3 [get_ports {LED[12]}] # set_property IOSTANDARD LVCMOS33 [get_ports {LED[12]}] #set_property PACKAGE_PIN N3 [get_ports {LED[13]}] # set_property IOSTANDARD LVCMOS33 [get_ports {LED[13]}] #set_property PACKAGE_PIN P1 [get_ports {LED[14]}] # set_property IOSTANDARD LVCMOS33 [get_ports {LED[14]}] #set_property PACKAGE_PIN L1 [get_ports {LED[15]}] # set_property IOSTANDARD LVCMOS33 [get_ports {LED[15]}] ##7 segments display #set_property PACKAGE_PIN W7 [get_ports {segments[7]}] # set_property IOSTANDARD LVCMOS33 [get_ports {segments[7]}] #set_property PACKAGE_PIN W6 [get_ports {segments[6]}] # set_property IOSTANDARD LVCMOS33 [get_ports {segments[6]}] #set_property PACKAGE_PIN U8 [get_ports {segments[5]}] # set_property IOSTANDARD LVCMOS33 [get_ports {segments[5]}] #set_property PACKAGE_PIN V8 [get_ports {segments[4]}] # set_property IOSTANDARD LVCMOS33 [get_ports {segments[4]}] #set_property PACKAGE_PIN U5 [get_ports {segments[3]}] # set_property IOSTANDARD LVCMOS33 [get_ports {segments[3]}] #set_property PACKAGE_PIN V5 [get_ports {segments[2]}] # set_property IOSTANDARD LVCMOS33 [get_ports {segments[2]}] #set_property PACKAGE_PIN U7 [get_ports {segments[1]}] # set_property IOSTANDARD LVCMOS33 [get_ports {segments[1]}] #set_property PACKAGE_PIN V7 [get_ports segments[0]] # set_property IOSTANDARD LVCMOS33 [get_ports segments[0]] #set_property PACKAGE_PIN U2 [get_ports {anodes[3]}] # set_property IOSTANDARD LVCMOS33 [get_ports {anodes[3]}] #set_property PACKAGE_PIN U4 [get_ports {anodes[2]}] # set_property IOSTANDARD LVCMOS33 [get_ports {anodes[2]}] #set_property PACKAGE_PIN V4 [get_ports {anodes[1]}] # set_property IOSTANDARD LVCMOS33 [get_ports {anodes[1]}] #set_property PACKAGE_PIN W4 [get_ports {anodes[0]}] # set_property IOSTANDARD LVCMOS33 [get_ports {anodes[0]}] ##Buttons # This is assigned to our universal off button. set_property PACKAGE_PIN U18 [get_ports btn] set_property IOSTANDARD LVCMOS33 [get_ports btn] #set_property PACKAGE_PIN T18 [get_ports BTN[3]] # set_property IOSTANDARD LVCMOS33 [get_ports BTN[3]] #set_property PACKAGE_PIN W19 [get_ports BTN[1]] # set_property IOSTANDARD LVCMOS33 [get_ports BTN[1]] #set_property PACKAGE_PIN T17 [get_ports BTN[0]] # set_property IOSTANDARD LVCMOS33 [get_ports BTN[0]] #set_property PACKAGE_PIN U17 [get_ports btnD] #set_property IOSTANDARD LVCMOS33 [get_ports btnD] ##Pmod Header JA ##Sch name = JA1 #set_property PACKAGE_PIN J1 [get_ports {JA[0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA[0]}] ##Sch name = JA2 #set_property PACKAGE_PIN L2 [get_ports {JA[1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA[1]}] ##Sch name = JA3 #set_property PACKAGE_PIN J2 [get_ports {JA[2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA[2]}] ##Sch name = JA4 #set_property PACKAGE_PIN G2 [get_ports {JA[3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA[3]}] ##Sch name = JA7 #set_property PACKAGE_PIN H1 [get_ports {buzzer}] # set_property IOSTANDARD LVCMOS33 [get_ports {buzzer}] ##Sch name = JA8 #set_property PACKAGE_PIN K2 [get_ports {JA[5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA[5]}] # This pin serves as our buzzer output Sch name = JA9 set_property PACKAGE_PIN H2 [get_ports {buzzer}] set_property IOSTANDARD LVCMOS33 [get_ports {buzzer}] ##Sch name = JA10 #set_property PACKAGE_PIN G3 [get_ports {JA[7]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA[7]}] ##Pmod Header JB ##Sch name = JB1 #set_property PACKAGE_PIN A14 [get_ports {JB[0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB[0]}] ##Sch name = JB2 #set_property PACKAGE_PIN A16 [get_ports {JB[1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB[1]}] ##Sch name = JB3 #set_property PACKAGE_PIN B15 [get_ports {JB[2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB[2]}] # This is the output pin for our fourth device. Sch name = JB4 set_property PACKAGE_PIN B16 [get_ports {dev[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {dev[3]}] ##Sch name = JB7 #set_property PACKAGE_PIN A15 [get_ports {JB[4]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB[4]}] ##Sch name = JB8 #set_property PACKAGE_PIN A17 [get_ports {JB[5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB[5]}] ##Sch name = JB9 #set_property PACKAGE_PIN C15 [get_ports {JB[6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB[6]}] # This is the output pin for our third device. Sch name = JB10 set_property PACKAGE_PIN C16 [get_ports {dev[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {dev[2]}] ##Pmod Header JC ##Sch name = JC1 #set_property PACKAGE_PIN K17 [get_ports {JC[0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC[0]}] ##Sch name = JC2 #set_property PACKAGE_PIN M18 [get_ports {JC[1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC[1]}] ##Sch name = JC3 #set_property PACKAGE_PIN N17 [get_ports {JC[2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC[2]}] # This is the output pin for our second device. Sch name = JC4 set_property PACKAGE_PIN P18 [get_ports {dev[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {dev[1]}] ##Sch name = JC7 #set_property PACKAGE_PIN L17 [get_ports {JC[4]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC[4]}] ##Sch name = JC8 #set_property PACKAGE_PIN M19 [get_ports {JC[5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC[5]}] ##Sch name = JC9 #set_property PACKAGE_PIN P17 [get_ports {JC[6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC[6]}] # This is the output pin for our first device. Sch name = JC10 set_property PACKAGE_PIN R18 [get_ports {dev[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {dev[0]}]