set_property PACKAGE_PIN W5 [get_ports {CLK}] set_property IOSTANDARD LVCMOS33 [get_ports {CLK}] create_clock -period 10.00 -name sys_clk_pin -waveform {0 5} -add [get_ports {CLK}] set_property PACKAGE_PIN T18 [get_ports {RST}] set_property IOSTANDARD LVCMOS33 [get_ports {RST}] set_property PACKAGE_PIN W19 [get_ports {Lbtn}] set_property IOSTANDARD LVCMOS33 [get_ports {Lbtn}] set_property PACKAGE_PIN T17 [get_ports {Rbtn}] set_property IOSTANDARD LVCMOS33 [get_ports {Rbtn}] set_property PACKAGE_PIN U18 [get_ports {Cbtn}] set_property IOSTANDARD LVCMOS33 [get_ports {Cbtn}] set_property PACKAGE_PIN A14 [get_ports {DOCol[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {DOCol[0]}] set_property PACKAGE_PIN A16 [get_ports {DOCol[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {DOCol[1]}] set_property PACKAGE_PIN B15 [get_ports {DOCol[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {DOCol[2]}] set_property PACKAGE_PIN B16 [get_ports {DOCol[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {DOCol[3]}] set_property PACKAGE_PIN A15 [get_ports {DOCol[4]}] set_property IOSTANDARD LVCMOS33 [get_ports {DOCol[4]}] set_property PACKAGE_PIN A17 [get_ports {DOCol[5]}] set_property IOSTANDARD LVCMOS33 [get_ports {DOCol[5]}] set_property PACKAGE_PIN C15 [get_ports {DOCol[6]}] set_property IOSTANDARD LVCMOS33 [get_ports {DOCol[6]}] set_property PACKAGE_PIN C16 [get_ports {DOCol[7]}] set_property IOSTANDARD LVCMOS33 [get_ports {DOCol[7]}] set_property PACKAGE_PIN K17 [get_ports {DOCol[8]}] set_property IOSTANDARD LVCMOS33 [get_ports {DOCol[8]}] set_property PACKAGE_PIN M18 [get_ports {DOCol[9]}] set_property IOSTANDARD LVCMOS33 [get_ports {DOCol[9]}] set_property PACKAGE_PIN N17 [get_ports {DOCol[10]}] set_property IOSTANDARD LVCMOS33 [get_ports {DOCol[10]}] set_property PACKAGE_PIN P18 [get_ports {DOCol[11]}] set_property IOSTANDARD LVCMOS33 [get_ports {DOCol[11]}] set_property PACKAGE_PIN L17 [get_ports {DOCol[12]}] set_property IOSTANDARD LVCMOS33 [get_ports {DOCol[12]}] set_property PACKAGE_PIN M19 [get_ports {DOCol[13]}] set_property IOSTANDARD LVCMOS33 [get_ports {DOCol[13]}] set_property PACKAGE_PIN P17 [get_ports {DOCol[14]}] set_property IOSTANDARD LVCMOS33 [get_ports {DOCol[14]}] set_property PACKAGE_PIN R18 [get_ports {DOCol[15]}] set_property IOSTANDARD LVCMOS33 [get_ports {DOCol[15]}] set_property PACKAGE_PIN J1 [get_ports {DORow[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {DORow[0]}] set_property PACKAGE_PIN L2 [get_ports {DORow[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {DORow[1]}] set_property PACKAGE_PIN J2 [get_ports {DORow[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {DORow[2]}] set_property PACKAGE_PIN G2 [get_ports {DORow[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {DORow[3]}] set_property PACKAGE_PIN H1 [get_ports {DORow[4]}] set_property IOSTANDARD LVCMOS33 [get_ports {DORow[4]}] set_property PACKAGE_PIN K2 [get_ports {DORow[5]}] set_property IOSTANDARD LVCMOS33 [get_ports {DORow[5]}] set_property PACKAGE_PIN H2 [get_ports {DORow[6]}] set_property IOSTANDARD LVCMOS33 [get_ports {DORow[6]}] set_property PACKAGE_PIN G3 [get_ports {DORow[7]}] set_property IOSTANDARD LVCMOS33 [get_ports {DORow[7]}]